Globally asynchronous, locally synchronous circuits: Overview and outlook

…, E Grass, FK Gürkaynak, P Vivet - IEEE Design & Test of …, 2007 - ieeexplore.ieee.org
… As an alternative, Beigne and Vivet designed a synchronous-asynchronous FIFO based
on the bisynchronous classical FIFO design using gray code, for the specific case of an …

3D Sequential Integration: Application-driven technological achievements and guidelines

…, E Vianello, S Thuries, O Billoint, P Vivet… - 2017 IEEE …, 2017 - ieeexplore.ieee.org
3D Sequential Integration (3DSI) with ultra-small 3D contact pitch (<100nm) offers new 3D
partitioning options at fine granularities. This paper reviews potential applications ranging …

An asynchronous NOC architecture providing low latency service and its multi-level design framework

E Beigné, F Clermidy, P Vivet… - … Circuits and Systems, 2005 - ieeexplore.ieee.org
The demands of scalable, low latency and power efficient system-on-chip interconnect cannot
only be satisfied by point-to-point or shared-bus interconnects. In this paper, we propose a …

An asynchronous power aware and adaptive NoC based circuit

…, A Valentian, D Varreau, P Vivet… - IEEE Journal of solid …, 2009 - ieeexplore.ieee.org
… -hopping scheme, we chose a P-type SCCMOS power switch on the TRX-OFDM unit since
the supply voltages can go as low as 0.8 V. It has been shown in [8] that a compromise has to …

A fully-asynchronous low-power framework for GALS NoC integration

Y Thonnart, P Vivet, F Clermidy - 2010 Design, Automation & …, 2010 - ieeexplore.ieee.org
Requiring more bandwidth at reasonable power consumption, new communication infrastructures
must provide adequate solutions to guarantee performance during physical integration…

IntAct: A 96-core processor with six chiplets 3D-stacked on an active interposer with distributed interconnects and integrated power management

P Vivet, E Guthmuller, Y Thonnart… - IEEE Journal of Solid …, 2020 - ieeexplore.ieee.org
In the context of high-performance computing, the integration of more computing capabilities
with generic cores or dedicated accelerators for artificial intelligence (AI) application is …

A new contactless smart card IC using an on-chip antenna and an asynchronous microcontroller

…, M Renaudin, P Senn, P Vivet - IEEE Journal of Solid …, 2001 - ieeexplore.ieee.org
Vivet, and F. Robin, “A design framework for asynchronous/synchronous circuits based on
CHP … Renaudin, and P. Vivet, “A contactless Smart-Card chip based on an asynchronous 8-bit …

Design of on-chip and off-chip interfaces for a GALS NoC architecture

E Beigné, P Vivet - 12th IEEE International Symposium on …, 2006 - ieeexplore.ieee.org
In this paper, we propose the design of on-chip and off-chip interfaces adapted to a globally
asynchronous locally synchronous (GALS) network-on-chip (NoC) architecture. The …

A 477mW NoC-based digital baseband for MIMO 4G SDR

…, I Miro-Panades, Y Thonnart, P Vivet… - … Solid-State Circuits …, 2010 - ieeexplore.ieee.org
A MIMO 3GPP-LTE digital baseband chip based on a heterogeneous 3 × 5 array NoC using
3.2 GOPS/50 mW programmable VLIW cores is presented. It features less than 10 ¿s run-…

2.3 a 220gops 96-core processor with 6 chiplets 3d-stacked on an active interposer offering 0.6 ns/mm latency, 3tb/s/mm 2 inter-chiplet interconnects and 156mw/mm …

P Vivet, E Guthmuller, Y Thonnart… - … Solid-State Circuits …, 2020 - ieeexplore.ieee.org
In the context of high-performance computing and big-data applications, the quest for
performance requires modular, scalable, energy-efficient, low-cost manycore systems. Partitioning …